GlobalFoundries, born out of AMD’s manufacturing arm many moons ago, made a statement last year when it served as the sole source for AMD’s Ryzen and Epyc CPUs and a wide range of Radeon GPUs. Now that 14-nm LPP is a mature process, GloFo is looking toward the future at its Fab 8 manufacturing facility in upstate New York. 7-nm Leading Performance, or 7LP, is the company’s next major stop on the road towards the limits of silicon, and that process may eventually mark one of the first times we’ll see the use of extreme ultraviolet lithography (EUV) in the mass production of semiconductor products.
EUV has, to put it mildly, proven difficult to implement in any form, much less one suitable for high-volume chip manufacturing. Semiconductor companies have been saying that EUV has been just around the corner for well over a decade, but the practical challenges of delivering that technology have delayed its introduction time and time again. Just one semiconductor-production-tool company in the world, ASML, is even bothering to plumb the depths of making EUV scanners at this stage, and so the fate of the technology is largely tied to whether ASML can overcome the challenges inherent in generating and delivering radiation that straddles the border between ultraviolet light and X-rays.
Unlike the argon-fluoride excimer lasers that power today’s 193-mm immersion lithography tools, an EUV scanner has to start with a completely new approach to generate the electromagnetic energy that inscribes the incredibly tiny features of next-generation processes on silicon wafers. Deep within the guts of GlobalFoundries’ newly reconfigured sub-fab, droplets of molten tin are converted to a high-energy plasma using laser pulses, generating light with a wavelength of just 13.5 nm.
Instead of refractive lenses made from glass, which would stop that light dead in its tracks, an EUV scanner has to direct that precious source power through a path of reflective mirrors to the wafer—all in a hard vacuum. Even under those conditions, the optics of an EUV scanner are tremendously inefficient. Just a couple percent of the 250 W of EUV source power ultimately ends up at the surface of the wafer itself, and ASML hadn’t even been able to meet that 250-W milestone for source power until the middle of last year.
The challenges of EUV lithography don’t stop with an exotic light source and a move from refractive to reflective optics, either. Finding a suitable pellicle, the transparent protective layer that prevents debris from settling on reticles (widely referred to as masks) during the production process, remains a vexing challenge. Even in a clean-room environment, protective casings for wafer transport, and a vacuum inside the EUV scanner itself, vanishingly small particles of debris could still settle on an EUV reticle, and with no pellicle to protect it, that debris would become printable on the final wafer.
Like everything else in the way of an EUV beam, the pellicle absorbs some of the source power and reduces the amount of light that ultimately makes its way to the wafer. At present, EUV pellicle materials transmit about 78% of the source power from the scanner to the wafer; GlobalFoundries needs transmissivity of at least 88% before it can begin using the technology for high-volume manufacturing.
Even with those challenges, GlobalFoundries chief technology officer Gary Patton and Fab 8 general manager Tom Caulfield are optimistic about the prospects of EUV. The technology won’t make or break the production of 7-nm chips, for one. Pinning the fate of an entire process on the capabilities of a single tool just isn’t practical when one owns a monster fab that needs to be producing chips around the clock, every day of the year. Instead, the company is qualifying its 7-nm process for both multiple-patterned immersion lithography now and for EUV insertion when the technology is ready. EUV will also only be used for two types of chip features on GloFo’s 7-nm process to start with: contacts and vias. Those features don’t require a pellicle to print, and they’ll still offer some of the cycle-time and reductions in processing steps that make EUV worth pursuing.
Patton notes that this gradual transition is a result of the fact that GlobalFoundries has customers that want to tape out products for 7-nm production this year, and that the company can’t simply tell those customers to come back in a year when EUV lithography is ready to roll. Instead, the company can drop EUV in at each layer it feels the tech is ready for, ensure that yields are satisfactory, and begin running wafers through the new production path, a process that Caulfield says will be transparent to the customer. GlobalFoundries will tell its customers that EUV is in use, of course, but its clients won’t need to shoulder any of the work involved in the transition from immersion lithography to EUV.
When it’s fully armed and operational, the benefit of using EUV will be in work and machine time saved in the fab. A single EUV exposure can replace multiple steps in multi-patterned immersion lithography that cascade beyond a single 193-nm scanner. As one of GloFo’s throughput gurus put it to us during our foundry floor tour, increased use of multi-patterning would hammer a wide range of the foundry’s equipment, not just lithography tools. By removing multiple patterning from the picture with EUV, for example, GloFo would cut the number of masks needed for contacts and vias from 15 for quad-patterning to just five, a figure that has major implications for cycle time (and, by extension, throughput).
Patton also notes that there could be positive effects on yield from the reduction in wafer-handling associated with each process step. He cautions that every time the company touches a wafer, it risks introducing a defect, and reducing the number of production steps could still have a positive impact on yields despite the complexity and challenges of EUV.
Where the magic happens
The highlight of our tour of Fab 8 was the foundry’s clean room itself. Although I wasn’t present nearly a decade ago when several other veteran journalists had been invited on site, those who were there couldn’t help but remark on how much more fleshed out and functional the facility was compared to that time. Indeed, Fab 8 is now the crown jewel of GloFo’s leading-edge manufacturing: all of its considerable clean-room floor space is presently dedicated to 14-nm production, and the facility will shift to 7-nm production as that process matures.
For as busy as Fab 8 is today, you won’t see many bunny-suited employees manning its various wafer-thinning, chemical-deposition, metrology, or lithography tools, and you certainly won’t see human beings shuffling wafers among those machines for the most part. Instead, the atmosphere of the foundry floor is dominated by the sounds of 500 wafer-transport vehicles (and several more that are exclusively dedicated to reticle transport) traversing 14 miles of track on the ceiling of the facility, about 30 feet above the floor where we stood. Each of those robots carries a front-opening unified pod (or FOUP) filled with wafers, and they can drop those pods onto the load ports of the range of silicon-shaping equipment in the clean room within a millimeter’s precision.
GlobalFoundries is especially proud of the degree of automation and overhead transportation present in Fab 8, as it means wafers can wait for machine time in their FOUPs on ceiling-mounted racks instead of occupying massive floor-level lockers that could otherwise be given over to more productive equipment. Indeed, that planning is just one example of an obsessive focus on productivity embodied by our tour guide, Christopher Belfi, who’s one of the people responsible for ensuring that every square foot of the fab is being put to productive use and that each chip is made using as few steps as possible at Fab 8. Given EUV’s potential advantages for the reduction of processing steps for 7-nm wafers, Belfi was understandably excited about the prospects of getting Fab 8’s EUV systems up and running.
The company wouldn’t say exactly how many EUV systems it’ll ultimately install at this point, but there are four enormous (and clean-room-friendly, and massively expensive) cranes installed in the EUV section of the fab to support those scanners. You do the math. For the moment, only two such machines are in the process of being installed at GloFo. One was nearly complete, while the other was largely still in its packing materials. Complete or otherwise, those ASML NXE 3400 scanners are intimidatingly large, eye-crossingly complex to behold, and only represent the tip of an entire network of support equipment that’s somehow even more complicated and massive than the hundreds of precision tools that populate the clean-room floor.
For all of the high-precision equipment in the clean room to function, it needs countless miles of pipes, bundles of wiring, armies of vacuum pumps, dozens of power-distribution frames, and other supporting equipment that’s all tucked away beneath the clean-room floor. That sub-fab equipment is necessary to deliver highly purified water, abrasives, and gases to the machinery above in the course of moving a silicon wafer from blank slate to fully-realized chip. According to senior director of facilities John Painter, who took us through the site’s support facilities, there’s roughly a six-to-one ratio of sub-fab support equipment compared to the machinery occupying Fab 8’s clean rooms.
Preparing the sub-fab to power and supply EUV equipment was, according to Painter, about a two-year process made all the more difficult by the fact that none of the construction operations could interrupt normal operation of the facility. To that end, the construction teams on-site employed 360-degree laser scanners to precisely image the sections of the sub-fab where the EUV equipment and supporting infrastructure was to be installed. The teams then used that data to visualize where each assembly of pipe, wiring track, or any other infrastructure element would go before assembling them off-site and bringing the completed assemblies on-site. According to the construction teams we talked to, this approach minimized travel time and expensive on-site operations, and it helped reduce errors and rework.
That work doesn’t even begin to account for the challenges of installing the support cranes required for the EUV equipment itself. Without interrupting high-volume manufacturing in the clean-room space we saw, the company had to establish airtight vestibules, strengthen overhead trusses, and install equipment. GlobalFoundries’ Caulfield referred to this operation as “performing heart surgery while running a marathon.”
Powering the EUV equipment on top of all of the other tools in the fab is another challenge in itself. Painter told us that GlobalFoundries gets its power from dual 115 kV lines from the New York grid, and it uses about 80 MW of power on average. Any interruption to that supply would prove crippling for the facility, so Fab 8 has 40 MW of short-term power spread across flywheels, uninterruptible power supplies, and diesel generators. Painter noted that the site had “just enough” electrical headroom to accommodate its EUV expansion.
Chasing the future of computing
The crux of my time at GlobalFoundries is that extreme ultraviolet lithography is no longer an “if” but a “when” in high-volume semiconductor manufacturing. To drive home that point, Caulfield notes that the company has placed a $600 million bet on the technology to lay the groundwork for and install its pair of EUV scanners, and that investment seems only likely to grow as the company builds out its EUV capacity. That’s a remarkable achievement, and GlobalFoundries is one of just four companies remaining in the world that are likely to make use of the tech.
Despite the tremendous sums of money involved in making EUV a reality, the company still says that the move is about saving money as it lays down next-generation chips for customers. Now that quadruple patterning is a requirement for some layers of the company’s 7-nm process steps, the economics of EUV are favorable enough to make all of this work worth it. That should tell us something about the challenges ahead as companies continue to pursue the limits of Moore’s Law.
Even as Fab 8 gears up for production on GlobalFoundries’ most cutting-edge process, the company has plenty of other irons in the fire. Fab 8 has likely been producing large numbers of AMD’s second-generation Ryzen processors on the 12LP process ahead of those parts’ introduction next month, and we’ll hopefully get a sense of just how those chips perform soon. If you see “Diffused in USA” laser-etched onto one of the heat spreaders of those chips, you’ll know that they came from the forges of this fab.
GlobalFoundries also seems excited about the prospects of its 22FDX and 12FDX planar processes, both of which use fully-depleted channels and silicon-on-insulator technology to provide appealing characteristics for low-power chips that need to integrate analog and radio-frequency elements. The company says 22FDX and 12FDX offer “FinFET-like performance at 28-nm cost,” and those characteristics could be attractive for companies looking to catch a bit of the always-connected Internet of Things future that 5G networking promises. Wherever the future of computing goes, it seems like GlobalFoundries will be well-equipped to make the chips that power it.